Scale-Out NUMA

Overview

Scale-Out NUMA (soNUMA) is an architecture, programming model, and communication protocol for low-latency, distributed in-memory processing. soNUMA layers an RDMA-inspired programming model directly on top of a NUMA memory fabric via a stateless messaging protocol. To facilitate interactions between the application, OS, and the fabric, soNUMA relies on the remote memory controller – a new architecturally-exposed hardware block integrated into the node’s local coherence hierarchy. Our results based on cycle-accurate full-system simulation show that soNUMA performs remote reads at latencies that are within 4x of local DRAM, can fully utilize the available memory bandwidth, and can issue up to 10M remote memory operations per second per core.

Research Papers

A. Daglis, M. Sutherland, and B. Falsafi, RPCValet: NI-Driven Tail-Aware Load Balancing of us-Scale RPCs, ASPLOS 2019.
[detailed record] [bibtex] [slides]

S. Novakovic, A. Daglis, E. Bugnion, B. Falsafi, and B. Grot, The Case for RackOut: Scalable Data Serving Using Rack-Scale Systems, SoCC 2016.
[detailed record]

A. Daglis, D. Ustiugov, S. Novakovic, E. Bugnion, B. Falsafi, and B. Grot, SABRes: Atomic Object Reads for In-Memory Rack-Scale Computing, MICRO 2016.
[detailed record] [slides]

S. Novakovic, A. Daglis, E. Bugnion, B. Falsafi, and B. Grot, An Analysis of Load Imbalance in Scale-out Data Serving, ACM SIGMETRICS (Extended abstract), 2016.
[detailed record]

A. Daglis, S. Novakovic, E. Bugnion, B. Falsafi, and B. Grot, Manycore Network Interfaces for In-Memory Rack-Scale Computing, ISCA 2015.
[detailed record] [bibtex] [slides]

S. Novakovic, A. Daglis, E. Bugnion, B. Falsafi, and B. Grot, Scale-Out NUMA, ASPLOS 2014.
[detailed record] [bibtex]